具有50%占空比的奇數整數分頻

来源:https://www.cnblogs.com/BMer-ICer/archive/2022/09/28/16739542.html
-Advertisement-
Play Games

參考自《硬體架構的藝術》。 思路:產生具有50%占空比的奇數分頻時鐘,最簡單的方式是以期望輸出頻率的一半(即輸出周期的兩倍)生成兩個正交相位時鐘,這兩個正交時鐘之間有90°的相位差(即相差四分之一個周期),然後將這兩個時鐘異或,就得到了奇數的50%占空比時鐘。 本次內容針對的是3分頻。具體的思路按照 ...


參考自《硬體架構的藝術》。

思路:產生具有50%占空比的奇數分頻時鐘,最簡單的方式是以期望輸出頻率的一半(即輸出周期的兩倍)生成兩個正交相位時鐘,這兩個正交時鐘之間有90°的相位差(即相差四分之一個周期),然後將這兩個時鐘異或,就得到了奇數的50%占空比時鐘。

本次內容針對的是3分頻。具體的思路按照第一行寫的書籍內容P84~85,以下是我自己寫的代碼及產生的波形。

 1 module clk_div_3 (
 2     input    clk    ,
 3     input    rstn  ,
 4      
 5     output   clkout
 6 );
 7 
 8 parameter    N = 'd3 ;
 9 
10 reg  [1:0]  cnt;
11 always @(posedge clk or negedge rstn) begin
12     if(!rstn || (cnt == (N-'d1)))
13         cnt  <=  'b0  ;
14     else 
15         cnt  <=  cnt   +  1  ;
16 end
17 
18 reg     ff1_en;
19 reg     ff2_en;
20 
21 always @(*) begin
22     ff1_en=0;ff2_en=0;
23     if(cnt == 0)begin
24         ff1_en  =  1'b1  ;
25     end
26     else if(cnt == ((N+1)/2)) begin
27         ff2_en  =  1'b1  ;
28     end
29     else begin 
30         ff1_en  =  0  ;
31         ff2_en  =  0  ;
32     end
33 end
34 
35 reg    clk_div_1  ;
36 reg    clk_div_2  ;
37 always @(posedge clk or negedge rstn) begin
38     if(!rstn) begin
39         clk_div_1   <=  'b0  ;
40     end
41     else if(ff1_en) begin
42         clk_div_1   <=  ~clk_div_1 ;
43     end
44     else begin 
45         clk_div_1   <=  clk_div_1 ;
46     end
47 end
48 
49 always @(negedge clk,rstn) begin
50     if(!rstn) begin
51         clk_div_2   <=  'b0  ;
52     end
53     else if(ff2_en) begin
54         clk_div_2   <=  ~clk_div_2 ;
55     end
56     else begin 
57         clk_div_2   <=  clk_div_2 ;
58     end
59 end
60 
61 assign  clkout  =  clk_div_1  ^  clk_div_2  ;
62 
63 endmodule

下麵是很簡單的tb文件。

 1 `timescale  1ns/10ps
 2 
 3 module tb ();
 4     reg    clk    ;
 5     reg    rstn   ;
 6     wire   clkout ;
 7 
 8 parameter    M = 'd3  ;
 9 
10 clk_div_3 #(
11     .N      (M      )
12 )   u0(
13     .clk    (clk    )    ,
14     .rstn   (rstn   )    ,
15     .clkout (clkout )    
16 );
17 
18 always #5  clk  =  ~clk  ;
19 
20 initial begin
21     clk  = 0;
22     rstn = 0;
23     repeat(5) @(posedge clk);
24     rstn = 1;
25     repeat(100) @(posedge clk);
26     $stop();
27 end
28 
29 endmodule

下麵是波形圖。

 

 總結:if else語句中,begin和end的書寫十分重要,我在這上面吃了很多虧,一定要加!

另外,如果想寫    “  ?  :  ”    這個組合邏輯符號的,儘量換成always@(*)塊和if else配合著寫,不然很容易出問題。

tb文件的話,這個很簡單,就驅動個clk,碰到別的複雜的,也儘量不要加#號寫時間,別把自己繞暈了,就用  “repeat() @(posedge clk)”  這種的來寫,很直觀。


您的分享是我們最大的動力!

-Advertisement-
Play Games
更多相關文章
  • @(vue2.x引入threejs) vue2.x引入threejs npm安裝 npm install three 使用指定版本: npm install three@<版本號> 其他插件 因為本次開發需要引入3D模型,所以需要使用 MTLLoader, OBJLoader兩種載入器,因為開發需求 ...
  • #背景 學習前端新框架、新技術。如果需要做一些資料庫的操作來增加demo的體驗(CURD流程可以讓演示的體驗根據絲滑) 最開始的時候一個演示程式我們會調用後臺,這樣其實有一點弊端,就是增加了開發和維護成本,簡單的一個demo不應該勞師動眾 後來我會在demo中使用一些websql,奈何,websql ...
  • 裝飾器 (1)什麼是裝飾器: 器指的是工具,可以定義成函數 裝飾指的是為其他事務添加額外的東西來點綴 上面兩者合到一起: 裝飾器指的是定義一個函數,該函數用來為其他函數添加額外的功能 函數裝飾器分為: 無參裝飾器和有參裝飾兩種,二者的實現原理一樣,都是’函數嵌套+閉包+函數對象’的組合使用的產物。 ...
  • 自動化流水線在CI/CD(持續集成/持續交付或持續部署)的實踐中發揮著核心作用。本文將對什麼是CI/CD流水線、如何構建CI/CD流水線進行討論。 *持續集成:Continuous Integration *持續交付:Continuous Delivery *持續部署:Continuous Depl ...
  • 當前,全球汽車產業正在經歷從傳統工業向數字化轉型的大變革,智能化、數字化、信息化正在成為汽車電子行業轉型發展的必由之路。“軟體定義汽車”(Software Defined Vehicles,SDV)概念的提出,說明軟體在汽車產品中承擔的角色越來越重要。隨著汽車軟體的量級和複雜度不斷提高,汽車廠商對嵌 ...
  • 一、背景 使用SpringWebFlux的WebFilter時,由於不熟悉或一些思考疏忽,容易出現未知的異常。記錄一下排查與解決方案,給大家分享一下。 二、問題 2.1 問題描述 在測試介面方法時,出現的錯誤信息如下(對一些項目路徑做了修改): java.lang.IllegalStateExcep ...
  • 我們知道,要對數據求和,寫sql很簡單:select sum(exp) from table_name我們在用mybatisplus做求和計算的時候,mybatisplus的Wrapper不支持sum函數。這種情況下,我們就無法使用lambda表達式了,只能以字元串的形式寫"sum(xxx)", l ...
  • nacos 依賴 mysql 先安裝mysql ,這裡使用的是8+版本,原因在於原本的 5.7 版本中並沒有對 m1 的良好支持,如果啟動會有報錯說查詢不到對應版本信息(雖然可以通過自定義 mirror 實現) mysql 配置參考(docker-compose): mysql: image: my ...
一周排行
    -Advertisement-
    Play Games
  • Dapr Outbox 是1.12中的功能。 本文只介紹Dapr Outbox 執行流程,Dapr Outbox基本用法請閱讀官方文檔 。本文中appID=order-processor,topic=orders 本文前提知識:熟悉Dapr狀態管理、Dapr發佈訂閱和Outbox 模式。 Outbo ...
  • 引言 在前幾章我們深度講解了單元測試和集成測試的基礎知識,這一章我們來講解一下代碼覆蓋率,代碼覆蓋率是單元測試運行的度量值,覆蓋率通常以百分比表示,用於衡量代碼被測試覆蓋的程度,幫助開發人員評估測試用例的質量和代碼的健壯性。常見的覆蓋率包括語句覆蓋率(Line Coverage)、分支覆蓋率(Bra ...
  • 前言 本文介紹瞭如何使用S7.NET庫實現對西門子PLC DB塊數據的讀寫,記錄了使用電腦模擬,模擬PLC,自至完成測試的詳細流程,並重點介紹了在這個過程中的易錯點,供參考。 用到的軟體: 1.Windows環境下鏈路層網路訪問的行業標準工具(WinPcap_4_1_3.exe)下載鏈接:http ...
  • 從依賴倒置原則(Dependency Inversion Principle, DIP)到控制反轉(Inversion of Control, IoC)再到依賴註入(Dependency Injection, DI)的演進過程,我們可以理解為一種逐步抽象和解耦的設計思想。這種思想在C#等面向對象的編 ...
  • 關於Python中的私有屬性和私有方法 Python對於類的成員沒有嚴格的訪問控制限制,這與其他面相對對象語言有區別。關於私有屬性和私有方法,有如下要點: 1、通常我們約定,兩個下劃線開頭的屬性是私有的(private)。其他為公共的(public); 2、類內部可以訪問私有屬性(方法); 3、類外 ...
  • C++ 訪問說明符 訪問說明符是 C++ 中控制類成員(屬性和方法)可訪問性的關鍵字。它們用於封裝類數據並保護其免受意外修改或濫用。 三種訪問說明符: public:允許從類外部的任何地方訪問成員。 private:僅允許在類內部訪問成員。 protected:允許在類內部及其派生類中訪問成員。 示 ...
  • 寫這個隨筆說一下C++的static_cast和dynamic_cast用在子類與父類的指針轉換時的一些事宜。首先,【static_cast,dynamic_cast】【父類指針,子類指針】,兩兩一組,共有4種組合:用 static_cast 父類轉子類、用 static_cast 子類轉父類、使用 ...
  • /******************************************************************************************************** * * * 設計雙向鏈表的介面 * * * * Copyright (c) 2023-2 ...
  • 相信接觸過spring做開發的小伙伴們一定使用過@ComponentScan註解 @ComponentScan("com.wangm.lifecycle") public class AppConfig { } @ComponentScan指定basePackage,將包下的類按照一定規則註冊成Be ...
  • 操作系統 :CentOS 7.6_x64 opensips版本: 2.4.9 python版本:2.7.5 python作為腳本語言,使用起來很方便,查了下opensips的文檔,支持使用python腳本寫邏輯代碼。今天整理下CentOS7環境下opensips2.4.9的python模塊筆記及使用 ...