FPGA驅動VGA顯示靜態圖片

来源:https://www.cnblogs.com/moluoqishi/archive/2018/09/02/9544146.html
-Advertisement-
Play Games

一 、前言 本文設計思想採用明德揚至簡設計法。VGA是最常見的視頻顯示介面,時序也較為簡單。本文從利用顯示屏通過VGA方式顯示測試圖案及靜態圖片著手帶大家接觸圖像顯示應用,算是為後續VGA顯示攝像頭採集圖像以及HDMI高清數字顯示方式打個基礎。 二、VGA顯示原理 關於VGA的詳細解釋可查看參考文獻 ...


一 、前言

  本文設計思想採用明德揚至簡設計法。VGA是最常見的視頻顯示介面,時序也較為簡單。本文從利用顯示屏通過VGA方式顯示測試圖案及靜態圖片著手帶大家接觸圖像顯示應用,算是為後續VGA顯示攝像頭採集圖像以及HDMI高清數字顯示方式打個基礎。

二、VGA顯示原理

  關於VGA的詳細解釋可查看參考文獻1,這裡主要講解下根據VGA的解析度計算時鐘頻率的方式。以本文使用到的1024*768@60HZ為例。

  一幀圖像顯示周期為Tv,在這段時間內VGA需要掃描806行,每行1344個點。所以每個點的持續周期為:Ts=Tv/(n*m),故時鐘頻率:fs = n*m*fv=806*1344*60=65MHz。因此設計下來其實非常簡單,PLL產生65MHz工作時鐘信號,利用兩個計數器分別計數行列值,之後根據計數器數值產生行場同步信號以及相應的RGB圖像數據即可。有一點需要註意:VGA顯示標準規定行場同步脈衝均為負脈衝,意思是只有同步脈衝階段拉低,其他時刻為高電平。

三、靜態圖片顯示

  VGA顯示基本原理和設計方式確定後,顯示圖片也不是什麼難事。可以將圖片以.coe形式保存在FPGA內部BRAM中,通過VGA介面模塊迴圈讀取RAM數據方式來顯示圖片。FPGA片內BRAM的存儲容量一般在kbit量級,存儲640*480*24bit真彩色圖像捉襟見肘,因此這裡僅顯示320*240*16bit圖像用於測試。把圖片格式設定為.coe文件的方法:一是可以利用些小的軟體工具,此處先用img2Lcd軟體將圖片調整為合適的解析度,再用BMP2Mif軟體生成.coe文件初始化BMG IP核(見參考文獻2);第二就是自己寫一段軟體腳本來轉換。

  測試需求:VGA介面以1024*768解析度,60Hz幀頻,在顯示屏中央位置顯示一幅320*240圖片,其他位置左右各一半分別顯示白色和紅色。

  BMG IP核配置:

  第一頁選擇單口ROM模式,其他保持預設。主要第二頁的位寬和深度設置正確,另外取消掉輸出寄存器選擇匹配時序。

四、顯示硬體方案

  大多數VGA顯示採用電阻網路分壓代替DA過程,這種方案成本較低,能滿足大多數顯示需求。當對解析度要求較高時,採用專用顯示晶元來完成R G B三路同步數模轉換,本文采用ADI公司的ADV7123晶元,內含有三路10位DAC,最高支持1080p@60Hz圖像輸出。硬體中將每路低兩位拉低,僅提供高8位介面可滿足8*8*8 = 24bit真彩色顯示需求。上升沿採樣數據,為方便處理和代碼規範,FPGA邏輯在PLL時鐘上升沿驅動,輸出顯示晶元工作採樣時鐘為PLL產生時鐘信號取反,如此可保證滿足顯示晶元建立保持時間需求。

五、邏輯代碼設計

  VGA顯示介面代碼如下:

  1 `timescale 1ns / 1ps
  2 
  3 module vga_interface#(
  4     parameter DATA_W = 8)
  5    (
  6     input                       clk,//65MHz
  7     input                       rst_n,
  8 
  9     output                      vga_clk,
 10     output reg                  vga_en,
 11     
 12     //input       [DATA_W-1:0]    din_r,
 13     //input       [DATA_W-1:0]    din_g,
 14     //input       [DATA_W-1:0]    din_b,
 15     output      [DATA_W-1:0]    vga_r,
 16     output      [DATA_W-1:0]    vga_g,
 17     output      [DATA_W-1:0]    vga_b,
 18     output reg                  vga_hs,
 19     output reg                  vga_vs
 20     );
 21 
 22 /*********************************參數******************************************/
 23     //VGA:1280*768@60HZ 
 24     //行參數
 25     localparam H_A = 136,   //同步脈衝
 26                H_B = 160,   //顯示後沿
 27                H_C = 1024,  //顯示時段
 28                H_D = 24;    //顯示前沿
 29     //場參數
 30     localparam V_A = 6,     //同步脈衝
 31                V_B = 29,    //顯示後沿
 32                V_C = 768,   //顯示時段
 33                V_D = 3;     //顯示前沿
 34     
 35     //有效區域邊界           
 36     localparam X0 = H_A+H_B,        //136+160=296
 37                X1 = H_A+H_B+H_C,    //136+160+1024=1320
 38                Y0 = V_A+V_B,        //6+29=35
 39                Y1 = V_A+V_B+V_C;    //6+29+768=803
 40     
 41     localparam COL_NUM = H_A+H_B+H_C+H_D,//1344
 42                ROW_NUM = V_A+V_B+V_C+V_D;//806
 43 
 44     //顯示中心位置           
 45     localparam X_CENTER = (X0+X1)/2,//808
 46                Y_CENTER = (Y0+Y1)/2;//419
 47 
 48     //顯示圖片解析度及位置
 49     localparam PIC_H = 320,
 50                PIC_V = 240;
 51 
 52     localparam PIC_H_LB = X_CENTER-PIC_H/2,
 53                PIC_H_RB = X_CENTER+PIC_H/2,
 54                PIC_V_UB = Y_CENTER-PIC_V/2,
 55                PIC_V_DB = Y_CENTER+PIC_V/2;
 56     
 57   /*********************************信號定義******************************************/     
 58 reg [ (12-1):0]  cnt_hs     ;
 59 wire        add_cnt_hs ;
 60 wire        end_cnt_hs ;
 61 reg [ (12-1):0]  cnt_vs     ;
 62 wire        add_cnt_vs ;
 63 wire        end_cnt_vs ;
 64 wire valid_area;
 65 wire left_half;
 66 wire picture_area;
 67 reg [DATA_W-1:0] r_reg,g_reg,b_reg;
 68 
 69 wire ena;
 70 wire [15:0] douta;
 71 reg [ (17-1):0]  cnt_addr     ;
 72 wire        add_cnt_addr ;
 73 wire        end_cnt_addr ;
 74 wire [16:0] addra;
 75 reg ram_vld;
 76 /*********************************計數器******************************************/
 77     
 78 always @(posedge clk or negedge rst_n) begin 
 79     if (rst_n==0) begin
 80         cnt_hs <= 0; 
 81     end
 82     else if(add_cnt_hs) begin
 83         if(end_cnt_hs)
 84             cnt_hs <= 0; 
 85         else
 86             cnt_hs <= cnt_hs+1 ;
 87    end
 88 end
 89 
 90 assign add_cnt_hs = 1;
 91 assign end_cnt_hs = add_cnt_hs  && cnt_hs == (COL_NUM)-1 ;
 92 
 93 always @(posedge clk or negedge rst_n) begin 
 94     if (rst_n==0) begin
 95         cnt_vs <= 0; 
 96     end
 97     else if(add_cnt_vs) begin
 98         if(end_cnt_vs)
 99             cnt_vs <= 0; 
100         else
101             cnt_vs <= cnt_vs+1 ;
102    end
103 end
104 assign add_cnt_vs = (end_cnt_hs);
105 assign end_cnt_vs = add_cnt_vs  && cnt_vs == (ROW_NUM)-1 ;
106 
107 
108 /*********************************BRAM相關信號******************************************/
109 //BRAM讀取地址計數器
110 always @(posedge clk or negedge rst_n) begin 
111     if (rst_n==0) begin
112         cnt_addr <= 0; 
113     end
114     else if(add_cnt_addr) begin
115         if(end_cnt_addr)
116             cnt_addr <= 0; 
117         else
118             cnt_addr <= cnt_addr+1 ;
119    end
120 end
121 
122 assign add_cnt_addr = (ena);
123 assign end_cnt_addr = add_cnt_addr  && cnt_addr == 320*240 -1 ;
124 
125 assign addra = cnt_addr;
126 assign ena = picture_area;
127 
128 //BRAM數據有效指示
129 always  @(posedge clk or negedge rst_n)begin
130     if(rst_n==1'b0)begin
131         ram_vld <= 0;
132     end
133     else begin
134         ram_vld <= ena;
135     end
136 end
137 /*********************************VGA輸出信號******************************************/
138 //行場同步信號
139 always  @(posedge clk or negedge rst_n)begin
140     if(rst_n==1'b0)begin
141         vga_hs <= 1;
142     end
143     else if(add_cnt_hs && cnt_hs == H_A-1)begin
144         vga_hs <= 1;
145     end
146     else if(end_cnt_hs)
147         vga_hs <= 0;
148 end
149 
150 always  @(posedge clk or negedge rst_n)begin
151     if(rst_n==1'b0)begin
152         vga_vs <= 1;
153     end
154     else if(add_cnt_vs && cnt_vs == V_A-1)begin
155         vga_vs <= 1;
156     end
157     else if(end_cnt_vs)
158         vga_vs <= 0;
159 end
160 
161 //R G B寄存器信號
162 always  @(posedge clk or negedge rst_n)begin
163     if(rst_n==1'b0)begin
164         r_reg <= 0;
165         g_reg <= 0;
166         b_reg <= 0;
167     end
168     else if(valid_area && !picture_area)begin       
169        if(left_half)begin       //彩條測試  左半屏幕顯示白色
170             r_reg <= 8'b1111_1111;
171             g_reg <= 8'b1111_1111;
172             b_reg <= 8'b1111_1111;
173        end
174        else begin               //右半屏幕顯示紅色
175             r_reg <= 8'b1111_1111;
176             g_reg <= 0;
177             b_reg <= 0;
178        end
179     end
180     else begin//無效區域顯示黑色
181         r_reg <= 0;
182         g_reg <= 0;
183         b_reg <= 0;
184     end
185 end
186 
187 assign valid_area = cnt_hs >= X0 && cnt_hs < X1 && cnt_vs >= Y0 && cnt_vs < Y1;
188 assign left_half =  cnt_hs >= X0 && cnt_hs < X_CENTER;
189 assign picture_area =  cnt_hs >= PIC_H_LB && cnt_hs < PIC_H_RB
190                     && cnt_vs >= PIC_V_UB && cnt_vs < PIC_V_DB;
191 
192 assign vga_r = ram_vld ? {douta[15:11],3'b0} : r_reg;//5bit
193 assign vga_g = ram_vld ? {douta[10:5],2'b0}  : g_reg;//6bit
194 assign vga_b = ram_vld ? {douta[4:0],3'b0}   : b_reg;//5bit
195 
196 //輸出控制信號
197 assign vga_clk = ~clk;
198 
199 always  @(posedge clk or negedge rst_n)begin
200     if(rst_n==1'b0)begin
201         vga_en <= 0;
202     end
203     else if(valid_area)begin
204         vga_en <= 1;
205     end
206     else
207         vga_en <= 0;
208 end
209 
210 /*********************************子模塊例化 BRAM******************************************/
211 
212 blk_mem_gen_0 bram (
213   .clka(clk),    // input wire clka
214   .ena(ena),      // input wire ena
215   .addra(addra),  // input wire [16 : 0] addra
216   .douta(douta)  // output wire [15 : 0] douta
217 );
218 
219 endmodule
vga_driver

   這裡VGA介面代碼包含了顯示內容,在實際應用中要去掉顯示部分邏輯和BRAM的例化,添加用戶側介面及邏輯。測試工程頂層:

 1 `timescale 1ns / 1ps
 2 
 3 module vga_test_top(
 4     input sys_clk_p,
 5     input sys_clk_n,
 6     input rst_n,
 7 
 8     output vga_hs,
 9     output vga_vs,
10     output vga_clk,
11     output vga_en,
12     output [8-1:0] vga_r,
13     output [8-1:0] vga_g,
14     output [8-1:0] vga_b
15     );
16 
17 wire clk;
18 wire sys_clk_ibufg;
19 wire locked;
20 
21 IBUFGDS #
22 (
23 .DIFF_TERM ("FALSE"),
24 .IBUF_LOW_PWR ("FALSE")
25 )
26 u_ibufg_sys_clk
27 (
28 .I (sys_clk_p),
29 .IB (sys_clk_n),
30 .O (sys_clk_ibufg)
31 );
32 
33  clk_wiz_0 pll
34 (
35  // Clock out ports
36  .clk_out1(clk),     // output clk_out1
37  // Status and control signals
38  .resetn(rst_n), // input resetn
39  .locked(locked),       // output locked
40 // Clock in ports
41  .clk_in1(sys_clk_ibufg));      // input clk_in1
42 
43  
44  vga_interface#(.DATA_W(8))
45  vga_interface
46 (
47  .clk      (clk) ,//65MHz
48  .rst_n    (rst_n) ,
49  .vga_clk  (vga_clk) ,
50  .vga_en   (vga_en) ,
51  .vga_r    (vga_r) ,
52  .vga_g    (vga_g) ,
53  .vga_b    (vga_b) ,
54  .vga_hs   (vga_hs) ,
55  .vga_vs   (vga_vs) 
56  );
57 
58 
59 endmodule
vga_test_top.v

 六、模擬及板級測試

   為了方便模擬,只將vga_interface作為uut。查看行為模擬波形:

  可見行場計數器及同步脈衝按照預期工作,在顯示圖片區域地址計數器遞增。現在我們看看實際上板後的顯示效果:

  和原始圖片對比下

 

  由於原始圖片是24位真彩圖,且在VGA顯示介面模塊中進行了R G B低位填充導致些許失真,不過整體顯示正確。

~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~

參考文獻:

1 [筆記]VGA時序及其原理 - LiangXuan - 博客園 https://www.cnblogs.com/spartan/archive/2011/08/16/2140546.html

【原創】bmp轉mif、coe或hex軟體發佈及使用介紹-crazybird-電子技術應用-AET-北大中文核心期刊-最豐富的電子設計資源平臺 http://blog.chinaaet.com/crazybird/p/5100000224


您的分享是我們最大的動力!

-Advertisement-
Play Games
更多相關文章
  • 在日常的Java開發中,位運算使用的不多,使用的更多的是算數運算(+、-、*、/、%)、關係運算(<、>、<=、>=、==、!=)和邏輯運算(&&、||、!),所以相對來說對位運算不是那麼熟悉,本文將以Java的位運算來詳細介紹下位運算及其應用。 1、 位運算起源 位運算起源於C語言的低級操作,Ja ...
  • 1.分散式 把一個項目拆成幾個部分,然後分別交給不同的人或部門去完成,部門與部門之間互相團結協作共同完成這個大項目。 2.集群 集群就是大家一起幹活,負載均衡就是每個人乾的都差不多(在同一個項目里),不能把某個人累死,也不能讓某個人閑死。 3.反向代理 就是把不同的活分給不同的人去做。 4.散列表、 ...
  • (一)說明 這裡我是按自己的理解去實現的,時間複雜度和空間複雜度和演算法導論上的可能不一樣,感興趣的話參考下就行,感覺最重要的還是演算法思想。根據演算法性能去實現演算法以後再研究。 (二)計數排序 計數排序的基本思想是:對每一個輸人元素x,確定小於x 的元素個數。 利用這一信息,就 可以直接把x放到它在輸出 ...
  • 前言 說一個自己經歷過的事情,有一次我在開發一個通過csv文件批量導入交易的job的時候,在UAT環境上進行性能測試,發現執行失敗了。通過查看日誌發現,機器空間不足了, df h 一看發現32G的機器只有20k的空間,然後一看日誌文件的大小,就占了20G。日誌這東西,不能記得太多,不然影響性能而且占 ...
  • [TOC] 翻譯自《Demo Week: Tidy Time Series Analysis with tibbletime》 原文鏈接:www.business science.io/code tools/2017/10/26/demo_week_tibbletime.html 註意:由於軟體包的 ...
  • Process類參數介紹 group 參數未使用, 值始終為None target 表示調用對象, 即子進程要執行的任務 args 表示調用對象的位置參數元組, args=(1,2,'hades',) 是一個元組形式,必須有逗號 kwargs 表示調用對象的字典, kwargs={'name':'h ...
  • Maximum Multiple Time Limit: 4000/2000 MS (Java/Others) Memory Limit: 32768/32768 K (Java/Others)Total Submission(s): 3241 Accepted Submission(s): 134 ...
  • 1.Spring Boot簡介 wiki上的介紹: Spring Boot是Spring的常規配置解決方案,用於創建可以“運行”的獨立的,生產級的基於Spring的應用程式。[22]它預先配置了Spring對Spring平臺和第三方庫的最佳配置和使用的“見解視圖”,因此您可以儘量少開始。大多數Spr ...
一周排行
    -Advertisement-
    Play Games
  • 示例項目結構 在 Visual Studio 中創建一個 WinForms 應用程式後,項目結構如下所示: MyWinFormsApp/ │ ├───Properties/ │ └───Settings.settings │ ├───bin/ │ ├───Debug/ │ └───Release/ ...
  • [STAThread] 特性用於需要與 COM 組件交互的應用程式,尤其是依賴單線程模型(如 Windows Forms 應用程式)的組件。在 STA 模式下,線程擁有自己的消息迴圈,這對於處理用戶界面和某些 COM 組件是必要的。 [STAThread] static void Main(stri ...
  • 在WinForm中使用全局異常捕獲處理 在WinForm應用程式中,全局異常捕獲是確保程式穩定性的關鍵。通過在Program類的Main方法中設置全局異常處理,可以有效地捕獲並處理未預見的異常,從而避免程式崩潰。 註冊全局異常事件 [STAThread] static void Main() { / ...
  • 前言 給大家推薦一款開源的 Winform 控制項庫,可以幫助我們開發更加美觀、漂亮的 WinForm 界面。 項目介紹 SunnyUI.NET 是一個基於 .NET Framework 4.0+、.NET 6、.NET 7 和 .NET 8 的 WinForm 開源控制項庫,同時也提供了工具類庫、擴展 ...
  • 說明 該文章是屬於OverallAuth2.0系列文章,每周更新一篇該系列文章(從0到1完成系統開發)。 該系統文章,我會儘量說的非常詳細,做到不管新手、老手都能看懂。 說明:OverallAuth2.0 是一個簡單、易懂、功能強大的許可權+可視化流程管理系統。 有興趣的朋友,請關註我吧(*^▽^*) ...
  • 一、下載安裝 1.下載git 必須先下載並安裝git,再TortoiseGit下載安裝 git安裝參考教程:https://blog.csdn.net/mukes/article/details/115693833 2.TortoiseGit下載與安裝 TortoiseGit,Git客戶端,32/6 ...
  • 前言 在項目開發過程中,理解數據結構和演算法如同掌握蓋房子的秘訣。演算法不僅能幫助我們編寫高效、優質的代碼,還能解決項目中遇到的各種難題。 給大家推薦一個支持C#的開源免費、新手友好的數據結構與演算法入門教程:Hello演算法。 項目介紹 《Hello Algo》是一本開源免費、新手友好的數據結構與演算法入門 ...
  • 1.生成單個Proto.bat內容 @rem Copyright 2016, Google Inc. @rem All rights reserved. @rem @rem Redistribution and use in source and binary forms, with or with ...
  • 一:背景 1. 講故事 前段時間有位朋友找到我,說他的窗體程式在客戶這邊出現了卡死,讓我幫忙看下怎麼回事?dump也生成了,既然有dump了那就上 windbg 分析吧。 二:WinDbg 分析 1. 為什麼會卡死 窗體程式的卡死,入口門檻很低,後續往下分析就不一定了,不管怎麼說先用 !clrsta ...
  • 前言 人工智慧時代,人臉識別技術已成為安全驗證、身份識別和用戶交互的關鍵工具。 給大家推薦一款.NET 開源提供了強大的人臉識別 API,工具不僅易於集成,還具備高效處理能力。 本文將介紹一款如何利用這些API,為我們的項目添加智能識別的亮點。 項目介紹 GitHub 上擁有 1.2k 星標的 C# ...