通用8位SPI介面模塊——verilog實現

来源:https://www.cnblogs.com/Lclone/archive/2023/01/23/17065489.html
-Advertisement-
Play Games

本次設計一個八位的SPI的介面模塊,可以修改輸出的頻率,也可以通過修改參數來設置通信模式。 本模塊是設定生成一個目標輸出頻率的二倍的計數器,然後通關計數的值來輸出響應的信號,從而進行SPI通信。 本模塊既可以發送數據也可以接收數據,給Send_en信號使開始發送數據,在接收到8位數據後會生成Read ...


本次設計一個八位的SPI的介面模塊,可以修改輸出的頻率,也可以通過修改參數來設置通信模式。
本模塊是設定生成一個目標輸出頻率的二倍的計數器,然後通關計數的值來輸出響應的信號,從而進行SPI通信。
本模塊既可以發送數據也可以接收數據,給Send_en信號使開始發送數據,在接收到8位數據後會生成Read_en信號。
片選信號只設定了1位,但是可以通過簡單的修改位寬來設置多位。

一、模塊代碼

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: Lclone
// 
// Create Date: 2023/01/23 00:56:52
// Design Name: SPI_Interface
// Module Name: SPI_Interface
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
//      SPI介面模塊
//      可修改分頻參數來生成目標頻率,最低分頻繫數為2;
//      可以置位CPOL、CPHA可以來設置通信模式;
//      本模塊只有1位,但是可以簡單修改位寬來設置多位片選信號
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////


module SPI_Interface
#   (   
        parameter         Value_divide = 2)//分頻繫數(最低為2)
    (
        //-----------------內部介面------------------
        input              Clk,             //時鐘
        input              Rst_n,           //複位信號
        input              CPOL,            //時鐘極性
        input              CPHA,            //時鐘相位
        input              CS_input,        //片選信號
        input              Send_en,         //發送使能
        input       [7:0]  Data_send,       //待發送數據
        output  reg        Read_en,        //接收數據讀使能
        output  reg [7:0]  Data_recive,    //接收到的數據
        //------------------外部介面------------------
        output  reg        Spi_clk,        //輸出時鐘端
        output  reg        Spi_mosi,       //主輸出從接收端
        input              Spi_miso,      //主接收從輸出端
        output             Cs_output      //片選信號輸出
    );

    reg         act_flag;                  //活動狀態寄存器
    reg [9:0]   cnt_divide;                //分頻計數器
    reg [7:0]   Data_send_reg;             //帶發送數據寄存器
    reg [4:0]   cnt_pulse;                 //脈衝計數器
    
    
    always @(posedge Clk or negedge Rst_n) begin 
        if(Rst_n == 0)
            act_flag <= 0;
        else if(Send_en == 1)
            act_flag <= 1;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1 & cnt_pulse == 16)
            act_flag <= 0;
        else
            act_flag <= act_flag;
    end
    
    always @(posedge Clk or negedge Rst_n) begin
        if(Rst_n == 0)
            Read_en <= 0;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1 & cnt_pulse == 16)
            Read_en <= 1;
        else
            Read_en <= 0;
    end
    
    always @(posedge Clk or negedge Rst_n) begin
        if(Rst_n == 0)
            Data_send_reg <= 0;
        else if(Send_en == 1)
            Data_send_reg <= Data_send;
        else
            cnt_divide <= 0;
    end
    
    always @(posedge Clk or negedge Rst_n) begin 
        if(Rst_n == 0)
            cnt_divide <= 0;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1)
            cnt_divide <= 0;
        else if(act_flag == 1)
            cnt_divide <= cnt_divide + 1'b1;
        else
            cnt_divide <= 0;
    end
    

    always @(posedge Clk or negedge Rst_n) begin//生成目標時鐘兩倍頻率的的cnt_pulse
        if(Rst_n == 0)
            cnt_pulse <= 0;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1 & cnt_pulse == 16)
            cnt_pulse <= 0;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1)
            cnt_pulse <= cnt_pulse + 1'b1;
        else if(act_flag == 1)
            cnt_pulse <= cnt_pulse;
        else
            cnt_pulse <= 0;
    end
    
    always @(posedge Clk or negedge Rst_n) begin
        if(Rst_n == 0)
            begin
                if(CPOL == 1)
                    begin
                        Spi_clk <= 1;
                        Spi_mosi <= 1;
                        Data_recive <= 0;
                    end
                else
                    begin
                        Spi_clk <= 0;
                        Spi_mosi <= 1;
                        Data_recive <= 0;
                    end
            end
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1)
            begin
                if(CPHA == 0)
                    case(cnt_pulse)
                        0:begin  
                              Spi_clk <= Spi_clk;
                              Spi_mosi <= Data_send_reg[7];
                              Data_recive <= Data_recive;
                          end
                        1:begin
                              Spi_clk <= ~Spi_clk;
                              Spi_mosi <= Spi_mosi;
                              Data_recive[7] <= Spi_miso;
                          end
                        2:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[6];  
                              Data_recive <= Data_recive;    
                          end            
                        3:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[6] <= Spi_miso;  
                          end            
                        4:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[5];  
                              Data_recive <= Data_recive;    
                          end            
                        5:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[5] <= Spi_miso;  
                          end            
                        6:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[4];  
                              Data_recive <= Data_recive;    
                          end            
                        7:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[4] <= Spi_miso;  
                          end            
                        8:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[3];  
                              Data_recive <= Data_recive;    
                          end            
                        9:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[3] <= Spi_miso;  
                          end            
                        10:begin          
                              Spi_clk <= ~Spi_clk;           
                              Spi_mosi <= Data_send_reg[2]; 
                              Data_recive <= Data_recive;   
                          end            
                        11:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[2] <= Spi_miso;  
                          end            
                        12:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[1];  
                              Data_recive <= Data_recive;    
                          end            
                        13:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[1] <= Spi_miso;  
                          end            
                        14:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[0];  
                              Data_recive <= Data_recive;    
                          end            
                        15:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[0] <= Spi_miso;  
                          end
                        16:begin
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= 1;      
                              Data_recive <= Data_recive;
                         end
                        default:;
                    endcase
                else
                    case(cnt_pulse)
                        0:begin  
                              Spi_clk <= ~Spi_clk;
                              Spi_mosi <= Data_send_reg[7];
                              Data_recive <= Data_recive;
                          end
                        1:begin
                              Spi_clk <= ~Spi_clk;
                              Spi_mosi <= Spi_mosi;
                              Data_recive[7] <= Spi_miso;
                          end
                        2:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[6];
                              Data_recive <= Data_recive;  
                          end            
                        3:begin
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[6] <= Spi_miso;
                          end            
                        4:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[5];
                              Data_recive <= Data_recive;  
                          end            
                        5:begin          
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[5] <= Spi_miso;
                          end            
                        6:begin          
                              Spi_clk <= ~Spi_clk;           
                              Spi_mosi <= Data_send_reg[4];  
                              Data_recive <= Data_recive;    
                          end            
                        7:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[4] <= Spi_miso;  
                          end            
                        8:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[3];
                              Data_recive <= Data_recive;  
                          end            
                        9:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[3] <= Spi_miso; 
                          end            
                        10:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[2];
                              Data_recive <= Data_recive;  
                          end            
                        11:begin          
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[2] <= Spi_miso;
                          end            
                        12:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[1];
                              Data_recive <= Data_recive;  
                          end            
                        13:begin          
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[1] <= Spi_miso;
                          end            
                        14:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[0];
                              Data_recive <= Data_recive;  
                          end            
                        15:begin          
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[0] <= Spi_miso;
                          end
                        16:begin                       
                              Spi_clk <= Spi_clk;       
                              Spi_mosi <= 1;      
                              Data_recive <= Data_recive;
                          end                          
                        default:;
                    endcase        
            end
    end
    
    assign Cs_output = CS_input;
    
endmodule

二、模擬

1、模擬激勵

`timescale 1ns / 1ps

module SPI_tb();

reg clk_50m;
initial clk_50m <= 1;
always #10 clk_50m <= ~clk_50m;

reg rst_n;
initial begin
    rst_n <= 0;
    #200
    rst_n <= 1;
end

reg          Send_en;
reg     [7:0]Data_send;
wire         Read_en;
wire    [7:0]Data_recive;
wire         Spi_clk;
wire         Spi_mosi;
wire         Spi_miso;
wire         Cs_output;

SPI_Interface
#   (   
        .Value_divide                   (4))
SPI_inst
    (
        //-----------------內部介面------------------
        .Clk                            (clk_50m),
        .Rst_n                          (rst_n),
        .CPOL                           (1),
        .CPHA                           (0),
        .CS_input                       (1),
        .Send_en                        (Send_en),
        .Data_send                      (Data_send),
        .Read_en                        (Read_en),        
        .Data_recive                    (Data_recive),
        //------------------外部介面------------------
        .Spi_clk                        (Spi_clk),
        .Spi_mosi                       (Spi_mosi),
        .Spi_miso                       (Spi_miso),
        .Cs_output                      (Cs_output)
    );

assign Spi_miso = Spi_mosi;

initial begin
    Send_en <= 0;
    Data_send <= 0;
    #400;
    Send_en <= 1;
    Data_send <= 8'haf;
    #20
    Send_en <= 0;
    #800;
    Send_en <= 1;
    Data_send <= 8'h55;
    #20
    Send_en <= 0;
end

endmodule

2、模擬結果

兩倍分頻:
image

四倍分頻:
image

八倍分頻:
image

CPOL=1、CPHA=1;
image

CPOL=1、CPHA=0;
image

CPOL=0、CPHA=0;
image

CPOL=0、CPHA=1;
image

結論:模擬實驗初步成功,能夠滿足SPI通信的基本要求。


您的分享是我們最大的動力!

-Advertisement-
Play Games
更多相關文章
  • 寫在前面 在開發的過程中,大多數人都需要對代碼進行測試。目前對於c/c++項目,可以採用google的gtest框架,除此之外在github上搜索之後可以發現很多其他類似功能的項目。但把別人的輪子直接拿來用,終究比不過自己造一個同樣功能的輪子更有成就感。作為“linux環境編程”系列文章的第一篇,本 ...
  • ##視圖 ###什麼是視圖 視圖是一張虛表(建立在真實的table的基礎之上,即視圖的數據來源是對應的table). 首先需要創建一張表,在表的基礎上,指定的列映射成一個視圖. 就是一個SELECT查詢語句(過濾掉安全隱患列的數據),把它查到的數據作為視圖的數據進行映射 ###視圖的語法 ####視 ...
  • JavaScript 中的繼承可以通過多種方式來實現,如原型鏈繼承、借用構造函數繼承、組合繼承、ES6 Class繼承等。 ...
  • 本文作者通過分析微服務的常見優點能解決的問題,提出如何使用單體應用來緩解這些問題,最終指出採用微服務還是單體架構要根據團隊實際情況,而不是為了微服務而微服務。作者最後給出建議,中小團隊和新型團隊,建議採用單體架構,大中型團隊,可以採用微服務架構,但要充分權衡。 在 Web 軟體架構方面,微服務... ...
  • 一群高智商青年在餐廳吃飯,餐桌上一個瓶蓋標識為鹽的瓶子里裝得是胡椒粉,而標識為胡椒粉的瓶子里裝得卻是鹽,他們想出了一個充滿才氣的方案來完成對調--僅需要一張餐巾紙、一根吸管和兩個空碟子。當他們叫來服務員,準備炫耀他們的天才想法時,只見服務員什麼也沒說,只是拿起鹽瓶和胡椒粉瓶,互換了瓶蓋…… 在我們... ...
  • 測試網站是本人學校,費話不多說下麵開始 首先直接導庫,過程中需要時間戳,rsa加密 import requests import re import time from Crypto.PublicKey import RSA from Crypto.Cipher import PKCS1_v1_5 ...
  • AOP 1.官方文檔 AOP講解:下載的spring文件-->spring-framework-5.3.8/docs/reference/html/core.html#aop AOP APIs:下載的spring文件-->spring-framework-5.3.8/docs/reference/h ...
  • RPC基本框架包括四個模塊:傳輸模塊、協議模塊、集群模塊以及Bootstrap模塊。 我們可以使用插件化架構的方法來擴展RPC基本框架,使其更加靈活適應將來可能得變更。 ...
一周排行
    -Advertisement-
    Play Games
  • JWT(JSON Web Token)是一種用於在網路應用之間傳遞信息的開放標準(RFC 7519)。它使用 JSON 對象在安全可靠的方式下傳遞信息,通常用於身份驗證和信息交換。 在Web API中,JWT通常用於對用戶進行身份驗證和授權。當用戶登錄成功後,伺服器會生成一個Token並返回給客戶端 ...
  • 老周在幾個世紀前曾寫過樹莓派相關的 iOT 水文,之所以沒寫 Nano Framework 相關的內容,是因為那時候這貨還不成熟,可玩性不高。不過,這貨現在已經相對完善,老周都把它用在項目上了——第一個是自製的智能插座,這個某寶上50多塊可以買到,搜“esp32 插座”就能找到。一種是 86 型盒子 ...
  • 引言 上一篇我們創建了一個Sample.Api項目和Sample.Repository,並且帶大家熟悉了一下Moq的概念,這一章我們來實戰一下在xUnit項目使用依賴註入。 Xunit.DependencyInjection Xunit.DependencyInjection 是一個用於 xUnit ...
  • 在 Avalonia 中,樣式是定義控制項外觀的一種方式,而控制項主題則是一組樣式和資源,用於定義應用程式的整體外觀和感覺。本文將深入探討這些概念,並提供示例代碼以幫助您更好地理解它們。 樣式是什麼? 樣式是一組屬性,用於定義控制項的外觀。它們可以包括背景色、邊框、字體樣式等。在 Avalonia 中,樣 ...
  • 在處理大型Excel工作簿時,有時候我們需要在工作表中凍結窗格,這樣可以在滾動查看數據的同時保持某些行或列固定不動。凍結窗格可以幫助我們更容易地導航和理解複雜的數據集。相反,當你不需要凍結窗格時,你可能需要解凍它們以獲得完整的視野。 下麵將介紹如何使用免費.NET庫通過C#實現凍結Excel視窗以鎖 ...
  • .NET 部署 IIS 的簡單步驟一: 下載 dotnet-hosting-x.y.z-win.exe ,下載地址:.NET Downloads (Linux, macOS, and Windows) (microsoft.com) .NET 部署 IIS 的簡單步驟二: 選擇對應的版本,點擊進入詳 ...
  • 拓展閱讀 資料庫設計工具-08-概覽 資料庫設計工具-08-powerdesigner 資料庫設計工具-09-mysql workbench 資料庫設計工具-10-dbdesign 資料庫設計工具-11-dbeaver 資料庫設計工具-12-pgmodeler 資料庫設計工具-13-erdplus ...
  • 初識STL STL,(Standard Template Library),即"標準模板庫",由惠普實驗室開發,STL中提供了非常多對信息學奧賽很有用的東西。 vector vetor是STL中的一個容器,可以看作一個不定長的數組,其基本形式為: vector<數據類型> 名字; 如: vector ...
  • 前言 最近自己做了個 Falsk 小項目,在部署上伺服器的時候,發現雖然不乏相關教程,但大多都是將自己項目代碼複製出來,不講核心邏輯,不太簡潔,於是將自己部署的經驗寫成內容分享出來。 uWSGI 簡介 uWSGI: 一種實現了多種協議(包括 uwsgi、http)並能提供伺服器搭建功能的 Pytho ...
  • 1 文本Embedding 將整個文本轉化為實數向量的技術。 Embedding優點是可將離散的詞語或句子轉化為連續的向量,就可用數學方法來處理詞語或句子,捕捉到文本的語義信息,文本和文本的關係信息。 ◉ 優質的Embedding通常會讓語義相似的文本在空間中彼此接近 ◉ 優質的Embedding相 ...