chisel學習筆記——基本類型

来源:https://www.cnblogs.com/nvmjom/archive/2022/12/19/16992198.html
-Advertisement-
Play Games

摘要:最近,在優化程式的加鎖方式時,竟然出現了死鎖!!到底是為什麼呢?!經過仔細的分析之後,終於找到了原因。 本文分享自華為雲社區《【高併發】優化加鎖方式時竟然死鎖了!!》,作者: 冰 河。 寫在前面 最近,在優化程式的加鎖方式時,竟然出現了死鎖!!到底是為什麼呢?!經過仔細的分析之後,終於找到了原 ...


Chisel學習筆記(二)——基本類型

因為是對著chisel book學的,這篇實際上是加上我的理解的chisel book的翻譯

1.信號類型與常量

Chisel提供了三種基本的類型來描述信號、寄存器、組合邏輯:

  • Bits
  • SInt
  • UInt

此外,還定義了邏輯類型Bool。

1.1類型定義

一個Chisel類型的定義有兩部分:位寬與類型

比如看下麵的例子:

Bits(7.W)
SInt(8.W)
UInt(9.W)

分別定義了7bits的Bits類型,8bits的有符號數,9bits的無符號數

1.2 常量定義

而一個Chisel常量類型的定義則有三部分:位寬、類型和值

比如看下麵的例子:

-3.S(4.W)

​ 這個定義代表一個4bits的有符號數3,其中-3、4都是Scala原生的整型,而通過.S、.W轉換為Chisel定義的有符號數與寬度類型

​ 對於上面的例子應該這樣理解,首先4.W將Scala整型4轉換為Chisel width,然後作為參數傳入.S構成Chisel四位有符號數類型,然後把Scala整型-3轉換為Chisel四位有符號數-3。

對於常量的定義,還可以使用其它的進位(16、8、2),這種情況下應該用Scala的字元串類型來表達,如:

"hff".U
"o377".U
"b1111_1111".U

都代表十進位的255

2. 組合邏輯

​ 首先有必要介紹Scala的一個特性——類型推斷,類似C++的auto,對應的關鍵字為val。這個特性使得我們可以不用像Verilog一樣,對每一個變數顯式聲明它的類型、位寬(位寬實際上作為Bits、SInt、UInt類型的一項屬性存在)。

​ 先看一個例子:

val logic = a & b | c

上面的代碼描述了下麵這樣一個電路:

image-20221214154338304

​ 代碼中,logic的類型為val,如前述,這不是一個實際的類型,只是表示logic是一個變數,而logic的變數由Scala推斷得出。

​ 另外,還可以先將一個變數定義為Wire,然後再用一種持續賦值的方法來進行“連接”:

val w = Wire(UInt())
w := a & b

​ 可以通過類似下標訪問的方法來提取某一位或一個區間:

val bit31 = x(31) 
val bit0to7 = x(7, 0)

​ 還可以進行拼接:

val word = bits1 ## bits2

​ 下麵兩張表介紹了Chisel中定義的一些硬體運算元:

Operator Description Data Types
*、/、% 乘、除、取模 UInt、SInt
+、- 加、減 UInt、SInt
===、=/= 等於、不等於 UInt、SInt,返回Bool
>、>=、<、<= 大於、不小於、小於、不大於 UInt、SInt,返回Bool
<<、>> 左移、右移(UInt邏輯移位、SInt算術移位) UInt、SInt
~ UInt、SInt、Bool
&、|、^ 與、或、非 UInt、SInt、Bool
! 邏輯非 Bool
&&、|| 邏輯與、或 Bool
Function Description Data Types
v.andR、v.orR、v.xorR AND、OR、XOR reduction UInt、SInt、returns Bool
v(n) 提取1bit UInt、SInt
v(end, start) 提取區間 UInt、SInt
Fill(n, v) 將v複製n遍 UInt、SInt
a ## b 拼接 UInt、SInt
Cat(a, b, ...) 拼接 UInt、SInt
Mux(sel, a, b) 多路選擇器 sel:Bool,a、b:任何相同的Chisel類

3. 寄存器

​ Chisel提供的寄存器介面是高度抽象和封裝的。寄存器的時鐘被連接到一個全局時鐘,複位被連接到一個全局同步複位,只留下了輸入、輸出兩個介面供使用。雖然自由度變低了,但使用也相對簡化了,實際上只有初始化、連接輸入、連接輸出三件事要做:

val reg = RegInit(0.U(8.W))
reg := d
val q = reg

或者也可以這樣寫:

val reg = RegNext(d, 0.U(8.W))
val q  = reg

4. Bundle和Vec

Bundle是異構的類型集合,可以通過繼承Bundle類來定義:

class MyBundle extends Bundle{
    val d1 = UInt(8.W)
    val d2 = Bool()
}

然後在使用前進行聲明,Bundle內數據的訪問實際上就是對類屬性的訪問:

val mb = Wire(new MyBundle())
mb.d1 := 2.U
mb.d2 := true.B

Vec是同構的類型集合,通過Vec類定義,下標訪問:

val vec = Wire(Vec(3, UInt(4.W)))
vec(0) := 1.U
vec(1) := 2.U
vec(2) := 3.U
val q = vec(0)

還可以通過初始化函數定義,這種方法直接產生Wire,不需要再轉換:

val d = 3.U(4.W)
val vec = VecInit(1.U(4.W), 2.U, d)

Vec預設是一組Wire,但也有RegFile型的:

val rf = Reg(Vec(32, 0.U(32.W)))
val rf = RegInit(VecInit(Seq.fill(32)(0.U(32.W))))

Bundle與Vec可以互相包含:

class VecBundle extends Bundle{
    val d1 = UInt(8.W)
    val v1 = Vec(3, UInt(4.W))
}
BundleVec = Wire(Vec(3, new VecBundle()))

另外,Bundle與Vec還有一個重要的用途。Chisel3不支持對一個變數部分賦值,比如說這樣寫是會報錯的:

val dat = Wire(UInt(8.W))
dat(7:4) := "h1".U(4.W)
dat(3:0) := "h2".U(4.W)

作為替代,可以用Vec和Bundle實現分段

5. Wire、Reg、IO

​ 上面提到的各種類型支持了多樣的設計,但許多還不能直接對應到硬體結構。Wire、Reg、IO分別對應了連線,寄存器,輸入輸出埠。組合邏輯的信號需要用Wire顯式聲明,Reg與前述是一樣的,IO是輸入輸出埠。

Wire與IO的用法與Reg類似:

val w = Wire(UInt(8.W))
w := 8.U(8.W)
val v = WireDefault(8.U(8.W))

您的分享是我們最大的動力!

-Advertisement-
Play Games
更多相關文章
  • 2015 年 HTTP/2 標準發表後,大多數主流瀏覽器也於當年年底支持該標準。此後,憑藉著多路復用、頭部壓縮、伺服器推送等優勢,HTTP/2 得到了越來越多開發者的青睞。不知不覺的 HTTP 已經發展到了第三代,鵝廠也緊跟技術潮流,很多項目也在逐漸使用 HTTP/3。本文基於興趣部落接入 HTTP... ...
  • 1)寫用例 TestCase 2)執行用例 1:TestSuite存儲用例,2:TestLoader找用例,存儲用例,存放指定的TestSuite 3)對比實際結果/期望結果,判定用例是否通過#斷言Assert 4)出局測試報告TextTestRunner 1.測試類 import requests ...
  • 1.get/post介紹 get和post是最常用的http/htttps請求方式,一定要掌握好二者各自特點以及區別。 2.get/post特點 get請求 請求參數在請求地址後面,提交的數據量較小,安全性較差,不建議用來提交敏感信息(地址欄中會顯示,並且有可能被保存請求地址)。 post請求 請求 ...
  • 家居網購項目實現04 以下皆為部分代碼,詳見 https://github.com/liyuelian/furniture_mall.git 10.功能09-後臺管理 刪除家居 10.1需求分析/圖解 需求如下: 管理員進入到家居管理頁面 點擊刪除家居連接,彈出確認視窗,確認刪除,取消放棄 10.2 ...
  • 訓練模型過程中,經常需要追蹤一些性能指標的變化情況,以便瞭解模型的實時動態,例如:回歸任務中的MSE、分類任務中的Accuracy、生成對抗網路中的圖片、網路模型結構可視化…… 除了追蹤外,我們還希望能夠將這些指標以動態圖表的形式可視化顯示出來。 TensorFlow的附加工具Tensorboar... ...
  • 1 final基本用法 final:“這是無法改變的" final可以修飾:變數、參數、方法、類 1.1 final修飾變數 修飾變數(變數、局部變數),當變數類型為: 基本類型,一旦被賦值,該值不能被改變。 引用類型,一旦引用被初始化指向一個對象,就不能指向別的對象,但對象內容可以被修改 數據類型 ...
  • 多表連接查詢中的「多表」,可以是同一張表,自己和自己連接查詢。相當於(可以理解為) A 表自己先複製自己後再和自己連接,如此稱為「 自連接 」也可以在不同張表中連接查詢,可分為「內連接」、「交叉連接」、「外連接」。 內連接根據所使用的比較方式不同,又分為「等值連接」、「自然連接」和「不等連接」三種, ...
  • 網上的 Java 視頻教程魚龍混雜,為了防止小伙伴們踩坑,一楓結合自己的學習經驗,向大家推薦一些不錯的學習資源。 ...
一周排行
    -Advertisement-
    Play Games
  • GoF之工廠模式 @目錄GoF之工廠模式每博一文案1. 簡單說明“23種設計模式”1.2 介紹工廠模式的三種形態1.3 簡單工廠模式(靜態工廠模式)1.3.1 簡單工廠模式的優缺點:1.4 工廠方法模式1.4.1 工廠方法模式的優缺點:1.5 抽象工廠模式1.6 抽象工廠模式的優缺點:2. 總結:3 ...
  • 新改進提供的Taurus Rpc 功能,可以簡化微服務間的調用,同時可以不用再手動輸出模塊名稱,或調用路徑,包括負載均衡,這一切,由框架實現並提供了。新的Taurus Rpc 功能,將使得服務間的調用,更加輕鬆、簡約、高效。 ...
  • 本章將和大家分享ES的數據同步方案和ES集群相關知識。廢話不多說,下麵我們直接進入主題。 一、ES數據同步 1、數據同步問題 Elasticsearch中的酒店數據來自於mysql資料庫,因此mysql數據發生改變時,Elasticsearch也必須跟著改變,這個就是Elasticsearch與my ...
  • 引言 在我們之前的文章中介紹過使用Bogus生成模擬測試數據,今天來講解一下功能更加強大自動生成測試數據的工具的庫"AutoFixture"。 什麼是AutoFixture? AutoFixture 是一個針對 .NET 的開源庫,旨在最大程度地減少單元測試中的“安排(Arrange)”階段,以提高 ...
  • 經過前面幾個部分學習,相信學過的同學已經能夠掌握 .NET Emit 這種中間語言,並能使得它來編寫一些應用,以提高程式的性能。隨著 IL 指令篇的結束,本系列也已經接近尾聲,在這接近結束的最後,會提供幾個可供直接使用的示例,以供大伙分析或使用在項目中。 ...
  • 當從不同來源導入Excel數據時,可能存在重覆的記錄。為了確保數據的準確性,通常需要刪除這些重覆的行。手動查找並刪除可能會非常耗費時間,而通過編程腳本則可以實現在短時間內處理大量數據。本文將提供一個使用C# 快速查找並刪除Excel重覆項的免費解決方案。 以下是實現步驟: 1. 首先安裝免費.NET ...
  • C++ 異常處理 C++ 異常處理機制允許程式在運行時處理錯誤或意外情況。它提供了捕獲和處理錯誤的一種結構化方式,使程式更加健壯和可靠。 異常處理的基本概念: 異常: 程式在運行時發生的錯誤或意外情況。 拋出異常: 使用 throw 關鍵字將異常傳遞給調用堆棧。 捕獲異常: 使用 try-catch ...
  • 優秀且經驗豐富的Java開發人員的特征之一是對API的廣泛瞭解,包括JDK和第三方庫。 我花了很多時間來學習API,尤其是在閱讀了Effective Java 3rd Edition之後 ,Joshua Bloch建議在Java 3rd Edition中使用現有的API進行開發,而不是為常見的東西編 ...
  • 框架 · 使用laravel框架,原因:tp的框架路由和orm沒有laravel好用 · 使用強制路由,方便介面多時,分多版本,分文件夾等操作 介面 · 介面開發註意欄位類型,欄位是int,查詢成功失敗都要返回int(對接java等強類型語言方便) · 查詢介面用GET、其他用POST 代碼 · 所 ...
  • 正文 下午找企業的人去鎮上做貸後。 車上聽同事跟那個司機對罵,火星子都快出來了。司機跟那同事更熟一些,連我在內一共就三個人,同事那一手指桑罵槐給我都聽愣了。司機也是老社會人了,馬上聽出來了,為那個無辜的企業經辦人辯護,實際上是為自己辯護。 “這個事情你不能怪企業。”“但他們總不能讓銀行的人全權負責, ...