Verilog筆記——YUV2RGB的模塊測試

来源:http://www.cnblogs.com/hythink/archive/2016/02/28/5224569.html
-Advertisement-
Play Games

1 YUV2RGB的模塊如下: 1 module yuv2rgb( 2 clk, //時鐘輸入 3 rstn, //複位輸入,低電平複位 4 5 y_in, //變換前Y分量輸出 6 cb_in, //變換前Cb分量輸出 7 cr_in, //變換前Cr分量輸出 8 ena_in, //待變換數據使


1 YUV2RGB的模塊如下:

 1 module yuv2rgb(
 2     clk,        //時鐘輸入
 3     rstn,       //複位輸入,低電平複位
 4     
 5     y_in,       //變換前Y分量輸出
 6     cb_in,      //變換前Cb分量輸出
 7     cr_in,        //變換前Cr分量輸出
 8     ena_in,        //待變換數據使能,當它為高時,輸入數據有效
 9         
10     R_out,        //變換後R分量輸出
11     G_out,        //變換後G分量輸出
12     B_out,        //變換後B分量輸出
13     ena_out        //變換後數據使能輸出
14     );

    測試模塊功能的方法:

         step1 用MATLAB讀入一張RGB圖片,將RGB轉成YUV數據保存在txt文件中;

         step2 用該模塊把YUV數據轉換成RGB數據並保存;

         step3 用MATLAB讀取模塊轉換的RGB數據做顯示。

    接下來詳細說明step1~3的實現過程。

2 step1 用MATLAB讀入一張RGB圖片,將RGB轉成YUV數據保存在txt文件中;

clc;close all;clear 
RGBimg
=imread('Penguins_720p.jpg'); %%用畫圖軟體重新調整像素大小得到的720p圖片 figure;imshow(RGBimg); YUVimg = rgb2ycbcr(RGBimg); %%matlab中的轉換函數 figure;imshow(YUVimg); [Hs Vs Dim] = size(YUVimg); yuvimout = zeros(1,Hs*Vs*Dim); yuvimout(1:3:Hs*Vs*Dim) = reshape(YUVimg(:,:,1)',1,Hs*Vs); %%Y yuvimout(2:3:Hs*Vs*Dim) = reshape(YUVimg(:,:,2)',1,Hs*Vs); %%U yuvimout(3:3:Hs*Vs*Dim) = reshape(YUVimg(:,:,3)',1,Hs*Vs); %%V fid= fopen('Penguins_720p.txt','w'); %%YUV數據寫入到txt fprintf(fid,'%02x\n',yuvimout); %%2位十六進位格式 fclose(fid); fid= fopen('Penguins_720p.yuv','rb'); %%用“7yuv”專業軟體轉換得到的yuv數據 yuvdat = fread(fid,'uint8'); yuvdat = yuvdat'; fclose(fid); subAB = yuvdat-yuvimout; %%比較下matlab轉換的yuv數據 figure;stem(find(subAB~=0));

3 step2 用該模塊把YUV數據轉換成RGB數據並保存;

testbench的代碼如下:

`timescale 1ns / 1ps

module tb_yuv2rgb;
    // Inputs
    reg clk;
    reg rstn; //複位輸入,低電平複位
    reg [7:0] y_in;
    reg [7:0] cb_in;
    reg [7:0] cr_in;
    reg ena_in;
    // Outputs
    wire [7:0] R_out;
    wire [7:0] G_out;
    wire [7:0] B_out;
    wire ena_out;
    // Instantiate the Unit Under Test (UUT)
    yuv2rgb uut (
        .clk(clk), 
        .rstn(rstn), 
        .y_in(y_in), 
        .cb_in(cb_in), 
        .cr_in(cr_in), 
        .ena_in(ena_in), 
        .R_out(R_out), 
        .G_out(G_out), 
        .B_out(B_out), 
        .ena_out(ena_out)
    );
    
localparam    PIXNUM_1080P =(1920*1080*3);
localparam    PIXNUM_720P  =(1280*720*3);

    //read pixel from .txt file
    reg[7:0] mem_imgpixel[0:2**24];
    reg [31:0]  pixaddr;
    integer fid,i;
    initial begin  //讀取圖片的YUV數據
        $readmemh("Penguins_720p.txt",mem_imgpixel);
        pixaddr = 0;
        #PIXNUM_1080P begin //等待圖片的數據轉換完成
            fid =  $fopen("Penguins_720pRGBout.txt","w");    
            for(i=0; i<PIXNUM_720P; i=i+1)
                $fdisplay(fid,"%2x",mem_rgbout[i]);//輸出轉換的RGB數據
            $fclose(fid);
            $stop;
        end
    end
    //clk的上升沿給輸入的yuv數據
    always @(posedge clk or negedge rstn) begin
        if(!rstn) begin
            y_in   <=  8'b0;
            cb_in  <=  8'b0;
            cr_in  <=  8'b0;
            ena_in <=  1'b0;
            pixaddr<=  0;
        end
        else begin
            y_in   <= mem_imgpixel[pixaddr];
            cb_in  <= mem_imgpixel[pixaddr+1];
            cr_in  <= mem_imgpixel[pixaddr+2];
            ena_in <= 1'b1;
            pixaddr<= pixaddr + 3;
        end
    end
    
    reg[31:0] outaddr;
    reg[7:0] mem_rgbout[0:2**24];//clk的下降沿讀取轉換的rgb數據
    always @(negedge clk or negedge rstn) begin
        if(!rstn) begin
            outaddr <= 0;
        end    
        else begin //存入對應下標
            mem_rgbout[outaddr]   <= R_out;
            mem_rgbout[outaddr+1] <= G_out;
            mem_rgbout[outaddr+2] <= B_out;
            outaddr <= outaddr + 3; //下標增加3
        end
    end
    
    initial begin
        // Initialize Inputs
        clk = 0;
        rstn = 1;
        y_in = 0;
        cb_in = 0;
        cr_in = 0;
        ena_in = 0;
        #2;
        rstn = 0;
        // Wait 100 ns for global reset to finish
        #100;
        rstn = 1;
        // Add stimulus here
    end
    always #2 clk =~clk;
endmodule
View Code

 

4 step3 用MATLAB讀取模塊轉換的RGB數據做顯示。

clc;close all;clear 

filename = 'Penguins_720pRGBout.txt';
fid = fopen(filename,'r');
    rgbdat = fscanf(fid,'%x');
    rgbdat = uint8(rgbdat'); %%轉換為uint8
fclose(fid);

imglen = 1280; imgwidth = 720;
len = length(rgbdat);
r = rgbdat(1:3:len);
r = reshape(r,imglen,imgwidth);
r = r';

g = rgbdat(2:3:len);
g = reshape(g,imglen,imgwidth);
g = g';

b = rgbdat(3:3:len);
b = reshape(b,imglen,imgwidth);
b = b';

rgbimg = cat(3,r,g,b);
imshow(rgbimg);

step3中rgb數據正確時顯示的圖片

 


您的分享是我們最大的動力!

-Advertisement-
Play Games
更多相關文章
  • 內容轉自 http://blog.csdn.net/pasic/article/details/7110134 Asp.net MVC中Controller返回值類型在mvc中所有的controller類都必須使用"Controller"尾碼來命名並且對Action也有一定的要求: 必須是一個pub
  • 對junit單元測試的報告:類似這樣的結果 ------------------------------------------------------- T E S T S ------------------------------------------------------- Runnin
  • 玩轉Windows服務系列彙總 [創建Windows服務][1] [Debug、Release版本的註冊和卸載及其原理][2] [無COM介面Windows服務啟動失敗原因及解決方案][3] [服務運行、停止流程淺析][4] [Windows服務小技巧][5] [命令行管理Windows服務][6]
  • Hadoop、Redis、Memcached、MongoDB、Spark、Storm、雲計算、R語言、機器學習、Nginx、Linux、MySQL、Java EE、.NET、PHP Memcached 視頻教程 大數據 高性能 集群 NoSQL 安裝 命令 使用
  • Hadoop、Redis、Memcached、MongoDB、Spark、Storm、雲計算、R語言、機器學習、Nginx、Linux、MySQL、Java EE、.NET、PHP MongoDB 權威 實戰 入門應用 視頻教程 大數據 高性能 集群 NoSQL
  • Hadoop、Redis、Memcached、MongoDB、Spark、Storm、雲計算、R語言、機器學習、Nginx、Linux、MySQL、Java EE、.NET、PHP R語言 視頻教程 實戰 數據分析 數據挖掘 入門編程 培訓 核心技術
  • 1.什麼叫做會話控制 允許伺服器根據客戶端做出的連續請求。 2.為什麼需要會話控制? 因為當你打開一個網站,並想訪問該網站的其他頁面的時候,如果沒有會話控制,當跳轉到其他頁面的 時候,就需要再次輸入賬戶和密碼。 3.Cookie的原理和作用 將客戶端的簡單信息保存在個人PC中,其他程式獲取PC的Co
  • java同步容器 在Java的集合容器框架中,主要有四大類別:List、Set、Queue、Map。List、Set、Queue介面分別繼承了Collection介面,Map本身是一個介面。註意Collection和Map是一個頂層介面,而List、Set、Queue則繼承了Collection介面
一周排行
    -Advertisement-
    Play Games
  • 示例項目結構 在 Visual Studio 中創建一個 WinForms 應用程式後,項目結構如下所示: MyWinFormsApp/ │ ├───Properties/ │ └───Settings.settings │ ├───bin/ │ ├───Debug/ │ └───Release/ ...
  • [STAThread] 特性用於需要與 COM 組件交互的應用程式,尤其是依賴單線程模型(如 Windows Forms 應用程式)的組件。在 STA 模式下,線程擁有自己的消息迴圈,這對於處理用戶界面和某些 COM 組件是必要的。 [STAThread] static void Main(stri ...
  • 在WinForm中使用全局異常捕獲處理 在WinForm應用程式中,全局異常捕獲是確保程式穩定性的關鍵。通過在Program類的Main方法中設置全局異常處理,可以有效地捕獲並處理未預見的異常,從而避免程式崩潰。 註冊全局異常事件 [STAThread] static void Main() { / ...
  • 前言 給大家推薦一款開源的 Winform 控制項庫,可以幫助我們開發更加美觀、漂亮的 WinForm 界面。 項目介紹 SunnyUI.NET 是一個基於 .NET Framework 4.0+、.NET 6、.NET 7 和 .NET 8 的 WinForm 開源控制項庫,同時也提供了工具類庫、擴展 ...
  • 說明 該文章是屬於OverallAuth2.0系列文章,每周更新一篇該系列文章(從0到1完成系統開發)。 該系統文章,我會儘量說的非常詳細,做到不管新手、老手都能看懂。 說明:OverallAuth2.0 是一個簡單、易懂、功能強大的許可權+可視化流程管理系統。 有興趣的朋友,請關註我吧(*^▽^*) ...
  • 一、下載安裝 1.下載git 必須先下載並安裝git,再TortoiseGit下載安裝 git安裝參考教程:https://blog.csdn.net/mukes/article/details/115693833 2.TortoiseGit下載與安裝 TortoiseGit,Git客戶端,32/6 ...
  • 前言 在項目開發過程中,理解數據結構和演算法如同掌握蓋房子的秘訣。演算法不僅能幫助我們編寫高效、優質的代碼,還能解決項目中遇到的各種難題。 給大家推薦一個支持C#的開源免費、新手友好的數據結構與演算法入門教程:Hello演算法。 項目介紹 《Hello Algo》是一本開源免費、新手友好的數據結構與演算法入門 ...
  • 1.生成單個Proto.bat內容 @rem Copyright 2016, Google Inc. @rem All rights reserved. @rem @rem Redistribution and use in source and binary forms, with or with ...
  • 一:背景 1. 講故事 前段時間有位朋友找到我,說他的窗體程式在客戶這邊出現了卡死,讓我幫忙看下怎麼回事?dump也生成了,既然有dump了那就上 windbg 分析吧。 二:WinDbg 分析 1. 為什麼會卡死 窗體程式的卡死,入口門檻很低,後續往下分析就不一定了,不管怎麼說先用 !clrsta ...
  • 前言 人工智慧時代,人臉識別技術已成為安全驗證、身份識別和用戶交互的關鍵工具。 給大家推薦一款.NET 開源提供了強大的人臉識別 API,工具不僅易於集成,還具備高效處理能力。 本文將介紹一款如何利用這些API,為我們的項目添加智能識別的亮點。 項目介紹 GitHub 上擁有 1.2k 星標的 C# ...