通用8位SPI介面模塊——verilog實現

来源:https://www.cnblogs.com/Lclone/archive/2023/01/23/17065489.html
-Advertisement-
Play Games

本次設計一個八位的SPI的介面模塊,可以修改輸出的頻率,也可以通過修改參數來設置通信模式。 本模塊是設定生成一個目標輸出頻率的二倍的計數器,然後通關計數的值來輸出響應的信號,從而進行SPI通信。 本模塊既可以發送數據也可以接收數據,給Send_en信號使開始發送數據,在接收到8位數據後會生成Read ...


本次設計一個八位的SPI的介面模塊,可以修改輸出的頻率,也可以通過修改參數來設置通信模式。
本模塊是設定生成一個目標輸出頻率的二倍的計數器,然後通關計數的值來輸出響應的信號,從而進行SPI通信。
本模塊既可以發送數據也可以接收數據,給Send_en信號使開始發送數據,在接收到8位數據後會生成Read_en信號。
片選信號只設定了1位,但是可以通過簡單的修改位寬來設置多位。

一、模塊代碼

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: Lclone
// 
// Create Date: 2023/01/23 00:56:52
// Design Name: SPI_Interface
// Module Name: SPI_Interface
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
//      SPI介面模塊
//      可修改分頻參數來生成目標頻率,最低分頻繫數為2;
//      可以置位CPOL、CPHA可以來設置通信模式;
//      本模塊只有1位,但是可以簡單修改位寬來設置多位片選信號
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////


module SPI_Interface
#   (   
        parameter         Value_divide = 2)//分頻繫數(最低為2)
    (
        //-----------------內部介面------------------
        input              Clk,             //時鐘
        input              Rst_n,           //複位信號
        input              CPOL,            //時鐘極性
        input              CPHA,            //時鐘相位
        input              CS_input,        //片選信號
        input              Send_en,         //發送使能
        input       [7:0]  Data_send,       //待發送數據
        output  reg        Read_en,        //接收數據讀使能
        output  reg [7:0]  Data_recive,    //接收到的數據
        //------------------外部介面------------------
        output  reg        Spi_clk,        //輸出時鐘端
        output  reg        Spi_mosi,       //主輸出從接收端
        input              Spi_miso,      //主接收從輸出端
        output             Cs_output      //片選信號輸出
    );

    reg         act_flag;                  //活動狀態寄存器
    reg [9:0]   cnt_divide;                //分頻計數器
    reg [7:0]   Data_send_reg;             //帶發送數據寄存器
    reg [4:0]   cnt_pulse;                 //脈衝計數器
    
    
    always @(posedge Clk or negedge Rst_n) begin 
        if(Rst_n == 0)
            act_flag <= 0;
        else if(Send_en == 1)
            act_flag <= 1;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1 & cnt_pulse == 16)
            act_flag <= 0;
        else
            act_flag <= act_flag;
    end
    
    always @(posedge Clk or negedge Rst_n) begin
        if(Rst_n == 0)
            Read_en <= 0;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1 & cnt_pulse == 16)
            Read_en <= 1;
        else
            Read_en <= 0;
    end
    
    always @(posedge Clk or negedge Rst_n) begin
        if(Rst_n == 0)
            Data_send_reg <= 0;
        else if(Send_en == 1)
            Data_send_reg <= Data_send;
        else
            cnt_divide <= 0;
    end
    
    always @(posedge Clk or negedge Rst_n) begin 
        if(Rst_n == 0)
            cnt_divide <= 0;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1)
            cnt_divide <= 0;
        else if(act_flag == 1)
            cnt_divide <= cnt_divide + 1'b1;
        else
            cnt_divide <= 0;
    end
    

    always @(posedge Clk or negedge Rst_n) begin//生成目標時鐘兩倍頻率的的cnt_pulse
        if(Rst_n == 0)
            cnt_pulse <= 0;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1 & cnt_pulse == 16)
            cnt_pulse <= 0;
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1)
            cnt_pulse <= cnt_pulse + 1'b1;
        else if(act_flag == 1)
            cnt_pulse <= cnt_pulse;
        else
            cnt_pulse <= 0;
    end
    
    always @(posedge Clk or negedge Rst_n) begin
        if(Rst_n == 0)
            begin
                if(CPOL == 1)
                    begin
                        Spi_clk <= 1;
                        Spi_mosi <= 1;
                        Data_recive <= 0;
                    end
                else
                    begin
                        Spi_clk <= 0;
                        Spi_mosi <= 1;
                        Data_recive <= 0;
                    end
            end
        else if(cnt_divide == Value_divide/2 - 1 & act_flag == 1)
            begin
                if(CPHA == 0)
                    case(cnt_pulse)
                        0:begin  
                              Spi_clk <= Spi_clk;
                              Spi_mosi <= Data_send_reg[7];
                              Data_recive <= Data_recive;
                          end
                        1:begin
                              Spi_clk <= ~Spi_clk;
                              Spi_mosi <= Spi_mosi;
                              Data_recive[7] <= Spi_miso;
                          end
                        2:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[6];  
                              Data_recive <= Data_recive;    
                          end            
                        3:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[6] <= Spi_miso;  
                          end            
                        4:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[5];  
                              Data_recive <= Data_recive;    
                          end            
                        5:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[5] <= Spi_miso;  
                          end            
                        6:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[4];  
                              Data_recive <= Data_recive;    
                          end            
                        7:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[4] <= Spi_miso;  
                          end            
                        8:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[3];  
                              Data_recive <= Data_recive;    
                          end            
                        9:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[3] <= Spi_miso;  
                          end            
                        10:begin          
                              Spi_clk <= ~Spi_clk;           
                              Spi_mosi <= Data_send_reg[2]; 
                              Data_recive <= Data_recive;   
                          end            
                        11:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[2] <= Spi_miso;  
                          end            
                        12:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[1];  
                              Data_recive <= Data_recive;    
                          end            
                        13:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[1] <= Spi_miso;  
                          end            
                        14:begin          
                              Spi_clk <= ~Spi_clk;            
                              Spi_mosi <= Data_send_reg[0];  
                              Data_recive <= Data_recive;    
                          end            
                        15:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[0] <= Spi_miso;  
                          end
                        16:begin
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= 1;      
                              Data_recive <= Data_recive;
                         end
                        default:;
                    endcase
                else
                    case(cnt_pulse)
                        0:begin  
                              Spi_clk <= ~Spi_clk;
                              Spi_mosi <= Data_send_reg[7];
                              Data_recive <= Data_recive;
                          end
                        1:begin
                              Spi_clk <= ~Spi_clk;
                              Spi_mosi <= Spi_mosi;
                              Data_recive[7] <= Spi_miso;
                          end
                        2:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[6];
                              Data_recive <= Data_recive;  
                          end            
                        3:begin
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[6] <= Spi_miso;
                          end            
                        4:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[5];
                              Data_recive <= Data_recive;  
                          end            
                        5:begin          
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[5] <= Spi_miso;
                          end            
                        6:begin          
                              Spi_clk <= ~Spi_clk;           
                              Spi_mosi <= Data_send_reg[4];  
                              Data_recive <= Data_recive;    
                          end            
                        7:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[4] <= Spi_miso;  
                          end            
                        8:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[3];
                              Data_recive <= Data_recive;  
                          end            
                        9:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Spi_mosi;        
                              Data_recive[3] <= Spi_miso; 
                          end            
                        10:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[2];
                              Data_recive <= Data_recive;  
                          end            
                        11:begin          
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[2] <= Spi_miso;
                          end            
                        12:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[1];
                              Data_recive <= Data_recive;  
                          end            
                        13:begin          
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[1] <= Spi_miso;
                          end            
                        14:begin          
                              Spi_clk <= ~Spi_clk;         
                              Spi_mosi <= Data_send_reg[0];
                              Data_recive <= Data_recive;  
                          end            
                        15:begin          
                              Spi_clk <= ~Spi_clk;       
                              Spi_mosi <= Spi_mosi;      
                              Data_recive[0] <= Spi_miso;
                          end
                        16:begin                       
                              Spi_clk <= Spi_clk;       
                              Spi_mosi <= 1;      
                              Data_recive <= Data_recive;
                          end                          
                        default:;
                    endcase        
            end
    end
    
    assign Cs_output = CS_input;
    
endmodule

二、模擬

1、模擬激勵

`timescale 1ns / 1ps

module SPI_tb();

reg clk_50m;
initial clk_50m <= 1;
always #10 clk_50m <= ~clk_50m;

reg rst_n;
initial begin
    rst_n <= 0;
    #200
    rst_n <= 1;
end

reg          Send_en;
reg     [7:0]Data_send;
wire         Read_en;
wire    [7:0]Data_recive;
wire         Spi_clk;
wire         Spi_mosi;
wire         Spi_miso;
wire         Cs_output;

SPI_Interface
#   (   
        .Value_divide                   (4))
SPI_inst
    (
        //-----------------內部介面------------------
        .Clk                            (clk_50m),
        .Rst_n                          (rst_n),
        .CPOL                           (1),
        .CPHA                           (0),
        .CS_input                       (1),
        .Send_en                        (Send_en),
        .Data_send                      (Data_send),
        .Read_en                        (Read_en),        
        .Data_recive                    (Data_recive),
        //------------------外部介面------------------
        .Spi_clk                        (Spi_clk),
        .Spi_mosi                       (Spi_mosi),
        .Spi_miso                       (Spi_miso),
        .Cs_output                      (Cs_output)
    );

assign Spi_miso = Spi_mosi;

initial begin
    Send_en <= 0;
    Data_send <= 0;
    #400;
    Send_en <= 1;
    Data_send <= 8'haf;
    #20
    Send_en <= 0;
    #800;
    Send_en <= 1;
    Data_send <= 8'h55;
    #20
    Send_en <= 0;
end

endmodule

2、模擬結果

兩倍分頻:
image

四倍分頻:
image

八倍分頻:
image

CPOL=1、CPHA=1;
image

CPOL=1、CPHA=0;
image

CPOL=0、CPHA=0;
image

CPOL=0、CPHA=1;
image

結論:模擬實驗初步成功,能夠滿足SPI通信的基本要求。


您的分享是我們最大的動力!

-Advertisement-
Play Games
更多相關文章
  • 寫在前面 在開發的過程中,大多數人都需要對代碼進行測試。目前對於c/c++項目,可以採用google的gtest框架,除此之外在github上搜索之後可以發現很多其他類似功能的項目。但把別人的輪子直接拿來用,終究比不過自己造一個同樣功能的輪子更有成就感。作為“linux環境編程”系列文章的第一篇,本 ...
  • ##視圖 ###什麼是視圖 視圖是一張虛表(建立在真實的table的基礎之上,即視圖的數據來源是對應的table). 首先需要創建一張表,在表的基礎上,指定的列映射成一個視圖. 就是一個SELECT查詢語句(過濾掉安全隱患列的數據),把它查到的數據作為視圖的數據進行映射 ###視圖的語法 ####視 ...
  • JavaScript 中的繼承可以通過多種方式來實現,如原型鏈繼承、借用構造函數繼承、組合繼承、ES6 Class繼承等。 ...
  • 本文作者通過分析微服務的常見優點能解決的問題,提出如何使用單體應用來緩解這些問題,最終指出採用微服務還是單體架構要根據團隊實際情況,而不是為了微服務而微服務。作者最後給出建議,中小團隊和新型團隊,建議採用單體架構,大中型團隊,可以採用微服務架構,但要充分權衡。 在 Web 軟體架構方面,微服務... ...
  • 一群高智商青年在餐廳吃飯,餐桌上一個瓶蓋標識為鹽的瓶子里裝得是胡椒粉,而標識為胡椒粉的瓶子里裝得卻是鹽,他們想出了一個充滿才氣的方案來完成對調--僅需要一張餐巾紙、一根吸管和兩個空碟子。當他們叫來服務員,準備炫耀他們的天才想法時,只見服務員什麼也沒說,只是拿起鹽瓶和胡椒粉瓶,互換了瓶蓋…… 在我們... ...
  • 測試網站是本人學校,費話不多說下麵開始 首先直接導庫,過程中需要時間戳,rsa加密 import requests import re import time from Crypto.PublicKey import RSA from Crypto.Cipher import PKCS1_v1_5 ...
  • AOP 1.官方文檔 AOP講解:下載的spring文件-->spring-framework-5.3.8/docs/reference/html/core.html#aop AOP APIs:下載的spring文件-->spring-framework-5.3.8/docs/reference/h ...
  • RPC基本框架包括四個模塊:傳輸模塊、協議模塊、集群模塊以及Bootstrap模塊。 我們可以使用插件化架構的方法來擴展RPC基本框架,使其更加靈活適應將來可能得變更。 ...
一周排行
    -Advertisement-
    Play Games
  • 基於.NET Framework 4.8 開發的深度學習模型部署測試平臺,提供了YOLO框架的主流系列模型,包括YOLOv8~v9,以及其系列下的Det、Seg、Pose、Obb、Cls等應用場景,同時支持圖像與視頻檢測。模型部署引擎使用的是OpenVINO™、TensorRT、ONNX runti... ...
  • 十年沉澱,重啟開發之路 十年前,我沉浸在開發的海洋中,每日與代碼為伍,與演算法共舞。那時的我,滿懷激情,對技術的追求近乎狂熱。然而,隨著歲月的流逝,生活的忙碌逐漸占據了我的大部分時間,讓我無暇顧及技術的沉澱與積累。 十年間,我經歷了職業生涯的起伏和變遷。從初出茅廬的菜鳥到逐漸嶄露頭角的開發者,我見證了 ...
  • C# 是一種簡單、現代、面向對象和類型安全的編程語言。.NET 是由 Microsoft 創建的開發平臺,平臺包含了語言規範、工具、運行,支持開發各種應用,如Web、移動、桌面等。.NET框架有多個實現,如.NET Framework、.NET Core(及後續的.NET 5+版本),以及社區版本M... ...
  • 前言 本文介紹瞭如何使用三菱提供的MX Component插件實現對三菱PLC軟元件數據的讀寫,記錄了使用電腦模擬,模擬PLC,直至完成測試的詳細流程,並重點介紹了在這個過程中的易錯點,供參考。 用到的軟體: 1. PLC開發編程環境GX Works2,GX Works2下載鏈接 https:// ...
  • 前言 整理這個官方翻譯的系列,原因是網上大部分的 tomcat 版本比較舊,此版本為 v11 最新的版本。 開源項目 從零手寫實現 tomcat minicat 別稱【嗅虎】心有猛虎,輕嗅薔薇。 系列文章 web server apache tomcat11-01-官方文檔入門介紹 web serv ...
  • 1、jQuery介紹 jQuery是什麼 jQuery是一個快速、簡潔的JavaScript框架,是繼Prototype之後又一個優秀的JavaScript代碼庫(或JavaScript框架)。jQuery設計的宗旨是“write Less,Do More”,即倡導寫更少的代碼,做更多的事情。它封裝 ...
  • 前言 之前的文章把js引擎(aardio封裝庫) 微軟開源的js引擎(ChakraCore))寫好了,這篇文章整點js代碼來測一下bug。測試網站:https://fanyi.youdao.com/index.html#/ 逆向思路 逆向思路可以看有道翻譯js逆向(MD5加密,AES加密)附完整源碼 ...
  • 引言 現代的操作系統(Windows,Linux,Mac OS)等都可以同時打開多個軟體(任務),這些軟體在我們的感知上是同時運行的,例如我們可以一邊瀏覽網頁,一邊聽音樂。而CPU執行代碼同一時間只能執行一條,但即使我們的電腦是單核CPU也可以同時運行多個任務,如下圖所示,這是因為我們的 CPU 的 ...
  • 掌握使用Python進行文本英文統計的基本方法,並瞭解如何進一步優化和擴展這些方法,以應對更複雜的文本分析任務。 ...
  • 背景 Redis多數據源常見的場景: 分區數據處理:當數據量增長時,單個Redis實例可能無法處理所有的數據。通過使用多個Redis數據源,可以將數據分區存儲在不同的實例中,使得數據處理更加高效。 多租戶應用程式:對於多租戶應用程式,每個租戶可以擁有自己的Redis數據源,以確保數據隔離和安全性。 ...