具有50%占空比的奇數整數分頻

来源:https://www.cnblogs.com/BMer-ICer/archive/2022/09/28/16739542.html
-Advertisement-
Play Games

參考自《硬體架構的藝術》。 思路:產生具有50%占空比的奇數分頻時鐘,最簡單的方式是以期望輸出頻率的一半(即輸出周期的兩倍)生成兩個正交相位時鐘,這兩個正交時鐘之間有90°的相位差(即相差四分之一個周期),然後將這兩個時鐘異或,就得到了奇數的50%占空比時鐘。 本次內容針對的是3分頻。具體的思路按照 ...


參考自《硬體架構的藝術》。

思路:產生具有50%占空比的奇數分頻時鐘,最簡單的方式是以期望輸出頻率的一半(即輸出周期的兩倍)生成兩個正交相位時鐘,這兩個正交時鐘之間有90°的相位差(即相差四分之一個周期),然後將這兩個時鐘異或,就得到了奇數的50%占空比時鐘。

本次內容針對的是3分頻。具體的思路按照第一行寫的書籍內容P84~85,以下是我自己寫的代碼及產生的波形。

 1 module clk_div_3 (
 2     input    clk    ,
 3     input    rstn  ,
 4      
 5     output   clkout
 6 );
 7 
 8 parameter    N = 'd3 ;
 9 
10 reg  [1:0]  cnt;
11 always @(posedge clk or negedge rstn) begin
12     if(!rstn || (cnt == (N-'d1)))
13         cnt  <=  'b0  ;
14     else 
15         cnt  <=  cnt   +  1  ;
16 end
17 
18 reg     ff1_en;
19 reg     ff2_en;
20 
21 always @(*) begin
22     ff1_en=0;ff2_en=0;
23     if(cnt == 0)begin
24         ff1_en  =  1'b1  ;
25     end
26     else if(cnt == ((N+1)/2)) begin
27         ff2_en  =  1'b1  ;
28     end
29     else begin 
30         ff1_en  =  0  ;
31         ff2_en  =  0  ;
32     end
33 end
34 
35 reg    clk_div_1  ;
36 reg    clk_div_2  ;
37 always @(posedge clk or negedge rstn) begin
38     if(!rstn) begin
39         clk_div_1   <=  'b0  ;
40     end
41     else if(ff1_en) begin
42         clk_div_1   <=  ~clk_div_1 ;
43     end
44     else begin 
45         clk_div_1   <=  clk_div_1 ;
46     end
47 end
48 
49 always @(negedge clk,rstn) begin
50     if(!rstn) begin
51         clk_div_2   <=  'b0  ;
52     end
53     else if(ff2_en) begin
54         clk_div_2   <=  ~clk_div_2 ;
55     end
56     else begin 
57         clk_div_2   <=  clk_div_2 ;
58     end
59 end
60 
61 assign  clkout  =  clk_div_1  ^  clk_div_2  ;
62 
63 endmodule

下麵是很簡單的tb文件。

 1 `timescale  1ns/10ps
 2 
 3 module tb ();
 4     reg    clk    ;
 5     reg    rstn   ;
 6     wire   clkout ;
 7 
 8 parameter    M = 'd3  ;
 9 
10 clk_div_3 #(
11     .N      (M      )
12 )   u0(
13     .clk    (clk    )    ,
14     .rstn   (rstn   )    ,
15     .clkout (clkout )    
16 );
17 
18 always #5  clk  =  ~clk  ;
19 
20 initial begin
21     clk  = 0;
22     rstn = 0;
23     repeat(5) @(posedge clk);
24     rstn = 1;
25     repeat(100) @(posedge clk);
26     $stop();
27 end
28 
29 endmodule

下麵是波形圖。

 

 總結:if else語句中,begin和end的書寫十分重要,我在這上面吃了很多虧,一定要加!

另外,如果想寫    “  ?  :  ”    這個組合邏輯符號的,儘量換成always@(*)塊和if else配合著寫,不然很容易出問題。

tb文件的話,這個很簡單,就驅動個clk,碰到別的複雜的,也儘量不要加#號寫時間,別把自己繞暈了,就用  “repeat() @(posedge clk)”  這種的來寫,很直觀。


您的分享是我們最大的動力!

-Advertisement-
Play Games
更多相關文章
  • @(vue2.x引入threejs) vue2.x引入threejs npm安裝 npm install three 使用指定版本: npm install three@<版本號> 其他插件 因為本次開發需要引入3D模型,所以需要使用 MTLLoader, OBJLoader兩種載入器,因為開發需求 ...
  • #背景 學習前端新框架、新技術。如果需要做一些資料庫的操作來增加demo的體驗(CURD流程可以讓演示的體驗根據絲滑) 最開始的時候一個演示程式我們會調用後臺,這樣其實有一點弊端,就是增加了開發和維護成本,簡單的一個demo不應該勞師動眾 後來我會在demo中使用一些websql,奈何,websql ...
  • 裝飾器 (1)什麼是裝飾器: 器指的是工具,可以定義成函數 裝飾指的是為其他事務添加額外的東西來點綴 上面兩者合到一起: 裝飾器指的是定義一個函數,該函數用來為其他函數添加額外的功能 函數裝飾器分為: 無參裝飾器和有參裝飾兩種,二者的實現原理一樣,都是’函數嵌套+閉包+函數對象’的組合使用的產物。 ...
  • 自動化流水線在CI/CD(持續集成/持續交付或持續部署)的實踐中發揮著核心作用。本文將對什麼是CI/CD流水線、如何構建CI/CD流水線進行討論。 *持續集成:Continuous Integration *持續交付:Continuous Delivery *持續部署:Continuous Depl ...
  • 當前,全球汽車產業正在經歷從傳統工業向數字化轉型的大變革,智能化、數字化、信息化正在成為汽車電子行業轉型發展的必由之路。“軟體定義汽車”(Software Defined Vehicles,SDV)概念的提出,說明軟體在汽車產品中承擔的角色越來越重要。隨著汽車軟體的量級和複雜度不斷提高,汽車廠商對嵌 ...
  • 一、背景 使用SpringWebFlux的WebFilter時,由於不熟悉或一些思考疏忽,容易出現未知的異常。記錄一下排查與解決方案,給大家分享一下。 二、問題 2.1 問題描述 在測試介面方法時,出現的錯誤信息如下(對一些項目路徑做了修改): java.lang.IllegalStateExcep ...
  • 我們知道,要對數據求和,寫sql很簡單:select sum(exp) from table_name我們在用mybatisplus做求和計算的時候,mybatisplus的Wrapper不支持sum函數。這種情況下,我們就無法使用lambda表達式了,只能以字元串的形式寫"sum(xxx)", l ...
  • nacos 依賴 mysql 先安裝mysql ,這裡使用的是8+版本,原因在於原本的 5.7 版本中並沒有對 m1 的良好支持,如果啟動會有報錯說查詢不到對應版本信息(雖然可以通過自定義 mirror 實現) mysql 配置參考(docker-compose): mysql: image: my ...
一周排行
    -Advertisement-
    Play Games
  • 概述:本文代碼示例演示瞭如何在WPF中使用LiveCharts庫創建動態條形圖。通過創建數據模型、ViewModel和在XAML中使用`CartesianChart`控制項,你可以輕鬆實現圖表的數據綁定和動態更新。我將通過清晰的步驟指南包括詳細的中文註釋,幫助你快速理解並應用這一功能。 先上效果: 在 ...
  • openGauss(GaussDB ) openGauss是一款全面友好開放,攜手伙伴共同打造的企業級開源關係型資料庫。openGauss採用木蘭寬鬆許可證v2發行,提供面向多核架構的極致性能、全鏈路的業務、數據安全、基於AI的調優和高效運維的能力。openGauss深度融合華為在資料庫領域多年的研 ...
  • openGauss(GaussDB ) openGauss是一款全面友好開放,攜手伙伴共同打造的企業級開源關係型資料庫。openGauss採用木蘭寬鬆許可證v2發行,提供面向多核架構的極致性能、全鏈路的業務、數據安全、基於AI的調優和高效運維的能力。openGauss深度融合華為在資料庫領域多年的研 ...
  • 概述:本示例演示了在WPF應用程式中實現多語言支持的詳細步驟。通過資源字典和數據綁定,以及使用語言管理器類,應用程式能夠在運行時動態切換語言。這種方法使得多語言支持更加靈活,便於維護,同時提供清晰的代碼結構。 在WPF中實現多語言的一種常見方法是使用資源字典和數據綁定。以下是一個詳細的步驟和示例源代 ...
  • 描述(做一個簡單的記錄): 事件(event)的本質是一個委托;(聲明一個事件: public event TestDelegate eventTest;) 委托(delegate)可以理解為一個符合某種簽名的方法類型;比如:TestDelegate委托的返回數據類型為string,參數為 int和 ...
  • 1、AOT適合場景 Aot適合工具類型的項目使用,優點禁止反編 ,第一次啟動快,業務型項目或者反射多的項目不適合用AOT AOT更新記錄: 實實在在經過實踐的AOT ORM 5.1.4.117 +支持AOT 5.1.4.123 +支持CodeFirst和非同步方法 5.1.4.129-preview1 ...
  • 總說周知,UWP 是運行在沙盒裡面的,所有許可權都有嚴格限制,和沙盒外交互也需要特殊的通道,所以從根本杜絕了 UWP 毒瘤的存在。但是實際上 UWP 只是一個應用模型,本身是沒有什麼許可權管理的,許可權管理全靠 App Container 沙盒控制,如果我們脫離了這個沙盒,UWP 就會放飛自我了。那麼有沒... ...
  • 目錄條款17:讓介面容易被正確使用,不易被誤用(Make interfaces easy to use correctly and hard to use incorrectly)限制類型和值規定能做和不能做的事提供行為一致的介面條款19:設計class猶如設計type(Treat class de ...
  • title: 從零開始:Django項目的創建與配置指南 date: 2024/5/2 18:29:33 updated: 2024/5/2 18:29:33 categories: 後端開發 tags: Django WebDev Python ORM Security Deployment Op ...
  • 1、BOM對象 BOM:Broswer object model,即瀏覽器提供我們開發者在javascript用於操作瀏覽器的對象。 1.1、window對象 視窗方法 // BOM Browser object model 瀏覽器對象模型 // js中最大的一個對象.整個瀏覽器視窗出現的所有東西都 ...